verilog:port¶
-
input [0:1]
port_name_01
;¶
-
input
port_name_02
[0:1];¶
-
input [0:1]
port_name_03
[0:1];¶
-
input [0:1]
port_name_04
[0:1],other_name_04
;¶
-
input [0:1]
other_name_05
,port_name_05
[0:1];¶
-
input [0:1]
port_name_06
[0:1],other_name_06
[0:1];¶
-
input [0:1]
port_name_07
[0:1],other_name_07
[0:1];¶
-
input [0 : 1]
port_name_08
[0 : 1],other_name_08
[0 : 1];¶
-
input [CONST/(2 * a)]
port_name_12
;¶
-
input
port_name_13
[CONST/(2 * a)];¶
-
input [CONST/(2 * a)]
port_name_14
[CONST/(2 * a)];¶
-
input [CONST/(2 * a)]
port_name_15
[CONST/(2 * a)],other_name_15
;¶
-
input [CONST/(2 * a)]
other_name_16
,port_name_16
[CONST/(2 * a)];¶
-
input [CONST/(2 * a)]
port_name_17
[CONST/(2 * a)],other_name_17
[CONST/(2 * a)];¶
-
input [CONST/(2*a)]
port_name_18
[CONST/(2*a)],other_name_18
[CONST/(2*a)];¶
-
input [CONST /(2 * a)]
port_name_19
[CONST /(2 * a)],other_name_19
[CONST /(2 * a)];¶
-
input []
port_name_23
;¶
-
input
port_name_24
[];¶
-
input []
port_name_25
[];¶
-
input []
port_name_26
[],other_name_26
;¶
-
input []
other_name_27
,port_name_27
[];¶
-
input []
port_name_28
[],other_name_28
[];¶
-
input []
port_name_29
[],other_name_29
[];¶
-
input []
port_name_30
[],other_name_30
[];¶
-
input [0:1][2:3]
port_name_34
;¶
-
input
port_name_35
[0:1][2:3];¶
-
input [0:1][2:3]
port_name_36
[0:1][2:3];¶
-
input [0:1][2:3]
port_name_37
[0:1][2:3],other_name_37
;¶
-
input [0:1][2:3]
other_name_38
,port_name_38
[0:1][2:3];¶
-
input [0:1][2:3]
port_name_39
[0:1][2:3],other_name_39
[0:1][2:3];¶
-
input [0:1][2:3]
port_name_40
[0:1][2:3],other_name_40
[0:1][2:3];¶
-
input [0 : 1][2 : 3]
port_name_41
[0 : 1][2 : 3],other_name_41
[0 : 1][2 : 3];¶
-
input [0][CONST/(2 * a) : 4]
port_name_45
;¶
-
input
port_name_46
[0][CONST/(2 * a) : 4];¶
-
input [0][CONST/(2 * a) : 4]
port_name_47
[0][CONST/(2 * a) : 4];¶
-
input [0][CONST/(2 * a) : 4]
port_name_48
[0][CONST/(2 * a) : 4],other_name_48
;¶
-
input [0][CONST/(2 * a) : 4]
other_name_49
,port_name_49
[0][CONST/(2 * a) : 4];¶
-
input [0][CONST/(2 * a) : 4]
port_name_50
[0][CONST/(2 * a) : 4],other_name_50
[0][CONST/(2 * a) : 4];¶
-
input [0][CONST/(2*a) :4]
port_name_51
[0][CONST/(2*a) :4],other_name_51
[0][CONST/(2*a) :4];¶
-
input [0][CONST /(2 * a) : 4]
port_name_52
[0][CONST /(2 * a) : 4],other_name_52
[0][CONST /(2 * a) : 4];¶
-
input [0][CONST/(2 * a)][]
port_name_56
;¶
-
input
port_name_57
[0][CONST/(2 * a)][];¶
-
input [0][CONST/(2 * a)][]
port_name_58
[0][CONST/(2 * a)][];¶
-
input [0][CONST/(2 * a)][]
port_name_59
[0][CONST/(2 * a)][],other_name_59
;¶
-
input [0][CONST/(2 * a)][]
other_name_60
,port_name_60
[0][CONST/(2 * a)][];¶
-
input [0][CONST/(2 * a)][]
port_name_61
[0][CONST/(2 * a)][],other_name_61
[0][CONST/(2 * a)][];¶
-
input [0][CONST/(2*a)][]
port_name_62
[0][CONST/(2*a)][],other_name_62
[0][CONST/(2*a)][];¶
-
input [0][CONST /(2 * a)][]
port_name_63
[0][CONST /(2 * a)][],other_name_63
[0][CONST /(2 * a)][];¶
-
(* $flowmap_level = 1 * 2, attr = 4 *) input wire [DATA_WIDTH - 1:0]
a1
,b1
,c1
;¶
-
(* $flowmap_level=1 *) input
a2
;¶
-
(* $flowmap_level=1 *) input
\esc{aped[]tok()en
;¶
-
inout
fbmimicbidir
;¶
-
inout
DDRCASB
;¶
-
inout [width_b-1:0]
q_b
;¶
-
inout wire
PACKAGE_PIN
;¶
-
input
DataOut_i
;¶
-
input
clk
,kld
;¶
-
input wire [31:0]
a3
,b2
;¶
-
input
configupdate
;¶
-
input
dataa
,datab
,datac
,datad
;¶
-
input
cam_enable
;¶
-
input
A1EN
;¶
-
input
clock
,reset
,req_0
,req_1
;¶
-
input
B1EN
;¶
-
input [7:0]
tx_data
;¶
-
input [SIZE-1:0]
state
;¶
-
input
enable
;¶
-
input
in
;¶
-
input wire
I
;¶
-
input wire [7:0]
inp_b
;¶
-
input wire [WIDTH-1:0]
I
;
-
input wire
clk
;
-
input
Data0
,Data1
,Data2
,Data3
,Data4
,Data5
,Data6
,Data7
,Data8
,Data9
,Data10
,Data11
,Data12
,Data13
,Data14
,Data15
,Data16
,Data17
,Data18
,Data19
,Data20
,Data21
,Data22
,Data23
,Data24
,Data25
,Data26
,Data27
,Data28
,Data29
,Data30
,Data31
,Data32
,Data33
,Data34
,Data35
,Data36
,Data37
,Data38
,Data39
,Data40
,Data41
,Data42
,Data43
,Data44
,Data45
,Data46
,Data47
,Data48
,Data49
,Data50
,Data51
,Data52
,Data53
,Data54
,Data55
,Data56
,Data57
,Data58
,Data59
,Data60
,Data61
,Data62
,Data63
;¶
-
input [width_clock-1:0]
clk
;
-
input
clock
;
-
input
clk
;
-
input
cl$k
,\reset*
;¶
-
input
data
,clk
,reset
;¶
-
input
data_in
;¶
-
input
din_0
,din_1
,sel
;¶
-
input
enable
;
-
input integer
a
,b
;
-
input
m_eth_payload_axis_tready
;¶
-
input reg [11:0]
zero2
;¶
-
input reg
zero1
;¶
-
input
req_3
;¶
-
input
reset
;
-
input signed
wire4
;¶
-
input signed [(B_WIDTH - 1) :0]
b
;¶
-
input wire
ci
;¶
-
input wire
S
;¶
-
input wire
D_OUT_0
;¶
-
input wire
S0
,S1
,S2
,S3
;¶
-
input wire [(DataWidth - 1) :0]
wdata_a_i
;¶
-
input wire [6:0]
OPMODE
;¶
-
input wire [DATA_WIDTH/2-1:0]
b
;
-
input wire [NBITS-1:0]
I1
;¶
-
input wire
wrclk
;¶
-
output reg [31:0]
sum
;¶
-
output
CO
;¶
-
output
clk_out
;¶
-
output
rx_empty
;¶
-
output
y
;¶
-
output
clk_out
;
-
output
data_out_ack
;¶
-
output
out
;¶
-
output
parity_out
;¶
-
output reg
out
;
-
output
UUT_CO
,UUT_ACCUMCO
,UUT_SIGNEXTOUT
;¶
-
output [15:0]
decoder_out
;¶
-
output [3:0]
binary_out
;¶
-
output [7 : 0]
count
;¶
-
output [7:0]
count
;
-
output [7:0]
rx_data
;¶
-
output [WIDTHA+WIDTHB-1:0]
RES
;¶
-
output [Y_WIDTH-1:0]
X
,Y
,CO
;¶
-
output [number_of_channels-1:0]
dataout
;¶
-
output
gnt_0
;¶
-
output
q
,\q~
;¶
-
output reg
fs_ce
;¶
-
output reg [WIDTH-1:0]
out
;
-
output reg
Q0
,Q1
,Q2
,Q3
;¶
-
output reg [7:0]
x
,y
,z
,w
;¶
-
output reg
carry_out
,borrow_out
,parity_out
;¶
-
output reg
wfi_insn_o
;¶
-
output reg [WIDTH-1:0]
POUT
;¶
-
output
sbox_decrypt_o
;¶
-
output signed [SIZEOUT-1:0]
REF_accum_out
,accum_out
;¶
-
output wand
Y
;
-
output wand [3:0]
Y
;
-
output wire
CARRYCASCOUT
;¶
-
output wire
CIN
;¶
-
output wire [(DataWidth - 1) :0]
rdata_a_o
;¶
-
output wire [0:(PMPNumChan - 1)]
pmp_req_err_o
;¶
-
output wire [3:0]
CARRYOUT
;¶
-
output wire [DATA_WIDTH-1:0]
cout
;¶
-
output wire [NBITS-1:0]
O
;¶
-
output wire
wrclk
;
-
output wire [WIDTH-1:0]
POUT
;
-
output wor
X
;
-
output wor [3:0]
X
;